From: ceriel Date: Mon, 23 Feb 1987 14:06:17 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: release-5-5~4643 X-Git-Url: https://git.ndcode.org/public/gitweb.cgi?a=commitdiff_plain;h=96f6adb74baaac6e3921d41b59be4966ef4995cc;p=ack.git *** empty log message *** --- diff --git a/modules/src/em_code/k/em.c b/modules/src/em_code/k/em.c index f38e2a334..7f6d179be 100644 --- a/modules/src/em_code/k/em.c +++ b/modules/src/em_code/k/em.c @@ -27,7 +27,7 @@ static File *ofp = 0; static flush() { if (sys_write(ofp, &obuf[0], opp - &obuf[0]) == 0) { - sys_stop(S_ABORT); + C_failed(); } opp = &obuf[0]; }