From: Alan Cox Date: Mon, 20 Apr 2015 21:38:14 +0000 (+0100) Subject: zx128: use screen for debug X-Git-Url: https://git.ndcode.org/public/gitweb.cgi?a=commitdiff_plain;h=94247f6c5f2665d601c8929a935277d4ba735cf0;p=FUZIX.git zx128: use screen for debug --- diff --git a/Kernel/platform-zx128/zx128.s b/Kernel/platform-zx128/zx128.s index 4aaf070a..19f50303 100644 --- a/Kernel/platform-zx128/zx128.s +++ b/Kernel/platform-zx128/zx128.s @@ -32,12 +32,15 @@ .globl _ramsize .globl _procmem + .globl _vtoutput + .globl outcharhex .globl outhl, outde, outbc .globl outnewline .globl outstring .globl outstringhex + ; banking support .globl __bank_0_1 .globl __bank_0_2 .globl __bank_0_3 @@ -259,7 +262,27 @@ map_restore: ; address when debugging. ; outchar: + ld (_tmpout), a + push bc + push de + push hl + push ix + ld hl, #1 + push hl + ld hl, #_tmpout + push hl + push af + call _vtoutput + pop af + pop af + pop af + pop ix + pop hl + pop de + pop bc ret +_tmpout: + .db 1 _kernel_flag: .db 1