zx128: uzi.lnk added
authorAlexander Tsidaev <a.tsidaev@gmail.com>
Mon, 10 Nov 2014 13:24:05 +0000 (18:24 +0500)
committerAlexander Tsidaev <a.tsidaev@gmail.com>
Mon, 10 Nov 2014 13:40:29 +0000 (18:40 +0500)
Kernel/platform-zx128/uzi.lnk [new file with mode: 0644]

diff --git a/Kernel/platform-zx128/uzi.lnk b/Kernel/platform-zx128/uzi.lnk
new file mode 100644 (file)
index 0000000..a56d60d
--- /dev/null
@@ -0,0 +1,37 @@
+-mwxuy
+-i uzi.ihx
+-b _CODE=0x0000
+-b _CODE2=0x5B00
+-b _COMMONMEM=0xF000
+-l z80
+platform-zx128/crt0.rel
+platform-zx128/commonmem.rel
+platform-zx128/zx128.rel
+platform-zx128/zxvideo.rel
+platform-zx128/main.rel
+start.rel
+version.rel
+lowlevel-z80.rel
+usermem_std-z80.rel
+platform-zx128/tricks.rel
+timer.rel
+kdata.rel
+usermem.rel
+platform-zx128/devices.rel
+devio.rel
+filesys.rel
+process.rel
+inode.rel
+syscall_fs.rel
+syscall_fs2.rel
+syscall_proc.rel
+syscall_other.rel
+tty.rel
+vt.rel
+font8x8.rel
+mm.rel
+bankfixed.rel
+swap.rel
+devsys.rel
+platform-zx128/devtty.rel
+-e